Skip to main content

Search

Reset

Add filters:

Use filters to refine the search results.


Item hits:
Publication DateArticle TitleAuthor(s)
2015Micro-wrinkling and delamination-induced buckling of stretchable electronic structuresOyewole, OK; Yu, D; Du, J; Asare, J; Oyewole, DO, et al
2018Chaining mutual information and tightening generalization boundsAsadi, AR; Abbe, Emmanuel; VerdĂș, S
28-Dec-2014Optical properties of ZnO/Al/ZnO multilayer films for large area transparent electrodesRwenyagila, Egidius Rutatizibwa; Agyei-Tuffour, Benjamin; Zebaze Kana, Martiale Gaetan; Akin-Ojo, Omololu; Soboyejo, Winston Oluwole
2015Verifying Correct Microarchitectural Enforcement of Memory Consistency ModelsLustig, D; Pellauer, M; Martonosi, Margaret
Jun-2019Statistical assertions for validating patterns and finding bugs in quantum programsHuang, Yipeng; Martonosi, Margaret
Nov-2019Watching You Watch: The Tracking Ecosystem of Over-the-Top TV Streaming DevicesMoghaddam, Hooman M; Acar, Gunes; Burgess, Ben; Mathur, Arunesh; Huang, Danny Y, et al
Jun-2019Full-stack, real-system quantum computer studies: architectural comparisons and design insightsMurali, Prakash; Linke, Norbert M; Martonosi, Margaret; Javadi-Abhari, Ali; Nguyen, Nhung H, et al
1-Jan-2015De-anonymizing programmers via code stylometryCaliskan-Islam, A; Harang, R; Liu, A; Narayanan, Arvind; Voss, C, et al
12-Dec-2018Magic-state functional units: Mapping and scheduling multi-level distillation circuits for fault-Tolerant quantum architecturesDing, Y; Holmes, A; Javadi-Abhari, A; Franklin, D; Martonosi, Margaret, et al
2014PipeCheck: Specifying and Verifying Microarchitectural Enforcement of Memory Consistency ModelsLustig, Daniel; Pellauer, Michael; Martonosi, Margaret