Skip to main content

MosaicSim: A Lightweight, Modular Simulator for Heterogeneous Systems

Author(s): Matthews, Opeoluwa; Manocha, Aninda; Giri, Davide; Orenes-Vera, Marcelo; Tureci, Esin; et al

Download
To refer to this page use: http://arks.princeton.edu/ark:/88435/pr1np0v
Full metadata record
DC FieldValueLanguage
dc.contributor.authorMatthews, Opeoluwa-
dc.contributor.authorManocha, Aninda-
dc.contributor.authorGiri, Davide-
dc.contributor.authorOrenes-Vera, Marcelo-
dc.contributor.authorTureci, Esin-
dc.contributor.authorSorensen, Tyler-
dc.contributor.authorHam, Tae Jun-
dc.contributor.authorAragon, Juan L-
dc.contributor.authorCarloni, Luca P-
dc.contributor.authorMartonosi, Margaret-
dc.date.accessioned2021-10-08T19:51:18Z-
dc.date.available2021-10-08T19:51:18Z-
dc.date.issued2020en_US
dc.identifier.citationMatthews, Opeoluwa, Aninda Manocha, Davide Giri, Marcelo Orenes-Vera, Esin Tureci, Tyler Sorensen, Tae Jun Ham, Juan L. Aragón, Luca P. Carloni, and Margaret Martonosi. "MosaicSim: A Lightweight, Modular Simulator for Heterogeneous Systems." In IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) (2020): pp. 136-148. doi:10.1109/ISPASS48437.2020.00029en_US
dc.identifier.urihttps://mrmgroup.cs.princeton.edu/papers/decades-ispass2020.pdf-
dc.identifier.urihttp://arks.princeton.edu/ark:/88435/pr1np0v-
dc.description.abstractAs Moore's Law has slowed and Dennard Scaling has ended, architects are increasingly turning to heterogeneous parallelism and domain-specific hardware-software co-designs. These trends present new challenges for simulation-based performance assessments that are central to early-stage architectural exploration. Simulators must be lightweight to support rich heterogeneous combinations of general purpose cores and specialized processing units. They must also support agile exploration of hardware-software co-design, i.e. changes in the programming model, compiler, ISA, and specialized hardware. To meet these challenges, we introduce MosaicSim, a lightweight, modular simulator for heterogeneous systems, offering accuracy and agility designed specifically for hardware-software co-design explorations. By integrating the LLVM toolchain, MosaicSim enables efficient modeling of instruction dependencies and flexible additions across the stack. Its modularity also allows the composition and integration of different hardware components. We first demonstrate that MosaicSim captures architectural bottlenecks in applications, and accurately models both scaling trends in a multicore setting and accelerator behavior. We then present two case-studies where MosaicSim enables straightforward design space explorations for emerging systems, i.e. data science application acceleration and heterogeneous parallel architectures.en_US
dc.format.extent136 - 148en_US
dc.language.isoen_USen_US
dc.relation.ispartofIEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)en_US
dc.rightsAuthor's manuscripten_US
dc.titleMosaicSim: A Lightweight, Modular Simulator for Heterogeneous Systemsen_US
dc.typeConference Articleen_US
dc.identifier.doi10.1109/ISPASS48437.2020.00029-
pu.type.symplectichttp://www.symplectic.co.uk/publications/atom-terms/1.0/conference-proceedingen_US

Files in This Item:
File Description SizeFormat 
MosaicSim.pdf763.64 kBAdobe PDFView/Download


Items in OAR@Princeton are protected by copyright, with all rights reserved, unless otherwise indicated.