Skip to main content

TransForm: Formally Specifying Transistency Models and Synthesizing Enhanced Litmus Tests

Author(s): Hossain, Naorin; Trippel, Caroline; Martonosi, Margaret

Download
To refer to this page use: http://arks.princeton.edu/ark:/88435/pr16g4r
Full metadata record
DC FieldValueLanguage
dc.contributor.authorHossain, Naorin-
dc.contributor.authorTrippel, Caroline-
dc.contributor.authorMartonosi, Margaret-
dc.date.accessioned2021-10-08T19:51:27Z-
dc.date.available2021-10-08T19:51:27Z-
dc.date.issued2020en_US
dc.identifier.citationHossain, Naorin, Caroline Trippel, and Margaret Martonosi. "TransForm: Formally Specifying Transistency Models and Synthesizing Enhanced Litmus Tests." In ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA) (2020): pp. 874-887. doi:10.1109/ISCA45697.2020.00076en_US
dc.identifier.urihttps://arxiv.org/pdf/2008.03578.pdf-
dc.identifier.urihttp://arks.princeton.edu/ark:/88435/pr16g4r-
dc.description.abstractMemory consistency models (MCMs) specify the legal ordering and visibility of shared memory accesses in a parallel program. Traditionally, instruction set architecture (ISA) MCMs assume that relevant program-visible memory ordering behaviors only result from shared memory interactions that take place between user-level program instructions. This assumption fails to account for virtual memory (VM) implementations that may result in additional shared memory interactions between user-level program instructions and both 1) system-level operations (e.g., address remappings and translation lookaside buffer invalidations initiated by system calls) and 2) hardware-level operations (e.g., hardware page table walks and dirty bit updates) during a user-level program' s execution. These additional shared memory interactions can impact the observable memory ordering behaviors of user-level programs. Thus, memory transistency models (MTMs) have been coined as a superset of MCMs to additionally articulate VM-aware consistency rules. However, no prior work has enabled formal MTM specifications, nor methods to support their automated analysis. To fill the above gap, this paper presents the TransForm framework. First, TransForm features an axiomatic vocabulary for formally specifying MTMs. Second, TransForm includes a synthesis engine to support the automated generation of litmus tests enhanced with MTM features (i.e., enhanced litmus tests, or ELTs) when supplied with a TransForm MTM specification. As a case study, we formally define an estimated MTM for Intel x86 processors, called x86t_elt, that is based on observations made by an ELT-based evaluation of an Intel x86 MTM implementation from prior work and available public documentation [23], [29]. Given x86t_elt and a synthesis bound (on program size) as input, TransForm' s synthesis engine successfully produces a complete set of ELTs (within a 9-instruction bound) including relevant hand-curated ELTs from prior work, plus 100 more.en_US
dc.format.extent874 - 887en_US
dc.language.isoen_USen_US
dc.relation.ispartofACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA)en_US
dc.rightsAuthor's manuscripten_US
dc.titleTransForm: Formally Specifying Transistency Models and Synthesizing Enhanced Litmus Testsen_US
dc.typeConference Articleen_US
dc.identifier.doi10.1109/ISCA45697.2020.00076-
pu.type.symplectichttp://www.symplectic.co.uk/publications/atom-terms/1.0/conference-proceedingen_US

Files in This Item:
File Description SizeFormat 
Transform.pdf632.94 kBAdobe PDFView/Download


Items in OAR@Princeton are protected by copyright, with all rights reserved, unless otherwise indicated.