Skip to main content

Characteristics of Workloads Using the Pipeline Programming Model

Author(s): Bienia, Christian; Li, Kai

Download
To refer to this page use: http://arks.princeton.edu/ark:/88435/pr1rr8z
Abstract: Pipeline parallel programming is a frequently used model to program applications on multiprocessors. Despite its popularity, there is a lack of studies of the characteristics of such workloads. This paper gives an overview of the pipeline model and its typical implementations for multiprocessors. We present implementation choices and analyze their impact on the program. We furthermore show that workloads that use the pipeline model have their own unique characteristics that should be considered when selecting a set of benchmarks. Such information can be beneficial for program developers as well as for computer architects who want to understand the behavior of applications.
Publication Date: 2011
Citation: Bienia, Christian, and Kai Li. "Characteristics of Workloads Using the Pipeline Programming Model." International Symposium on Computer Architecture (2011). pp. 161-171. doi:10.1007/978-3-642-24322-6_14
DOI: 10.1007/978-3-642-24322-6_14
ISSN: 0302-9743
EISSN: 1611-3349
Pages: 161 - 171
Type of Material: Conference Article
Journal/Proceeding Title: International Symposium on Computer Architecture
Version: Author's manuscript



Items in OAR@Princeton are protected by copyright, with all rights reserved, unless otherwise indicated.